网站颜色:

二手正版 数字电路逻辑设计 第二版 王毓银 高等教育 2版


由于各种原因,上面的小字部分的宝贝详情可能与本书无关,具体真实描述和买家必读,请看下面......


基本信息
书名:数字电路逻辑设计 第二版
作者:王毓银 主编
出版社:高等教育出版社
出版日期:(咨询特价)
开本:16
装帧:平装
页数:502
版次:2版
ISBN(咨询特价)
原价:
图书类型:正版二手旧书
新旧程度:9品,绝不影响正常阅读。无光盘


内容提要
本书是普通高等教育“十五”国家级规划教材和高等教育出版社百门精品课程教材立项项目。本书的前身《脉冲与数字电路》(第二版)曾获第三届国家教委优秀教材一等奖,第三届教育部科学技术进步三等奖;《数字电路逻辑设计》(脉冲与数字电路第三版)曾获2002年普通高等学校优秀教材二等奖。
本书适应电子信息与通信工程学科、电子科学与技术学科迅猛发展的形势,正确处理了基础理论与实际应用的关系,适量地增加了VHDL对数字逻辑的描述以及数字系统设计的基础,既覆盖了原国家教委颁布的本课程教学基本要求,也符合当前我国高等学校工科本课程教学内容与课程体系改革的实际,定位准确,取材恰当,基本概念清楚,同时保持了前三版的优点,深入浅出,语言流畅,可读性强。
全书共十一章,主要包括绪论、逻辑函数及其简化、集成逻辑门、组合逻辑电路、集成触发器、时序逻辑电路、半导体存储器、可编程逻辑器件、脉冲单电路、模数转换器和数模转换器、数字系统设计基础等内容,各章后配有适量习题。随书还附有帮助学生学习用的教学光盘,其内容包含有各章的教学基本要求,主要内容,重点、难点分析,思考题、自我检测题(附有答案)以及VHDL基础等。


图书目录
第1章 绪论
 1.1 数字信号
 1.2 数制及其转换
 1.3 二一十进制代码(BCD代码)
 1.4 算术运算与逻辑运算
 1.5 数字电路
 1.6 VHDL
 1.7 本课程的任务与性质
 习题
第2章 逻辑函数及其简化
 2.1 逻辑代数
  2.1.1 基本逻辑
  2.1.2 基本逻辑运算
  2.1.3 真值表与逻辑函数
  2.1.4 逻辑函数相等
  2.1.5 三个规则
  2.1.6 常用公式
  2.1.7 逻辑函数的标准形式
 2.2 逻辑函数的简化
  2.2.1 公式法(代数法)
  2.2.2 图解法(卡诺图法)
  2.2.3 逻辑函数的系统简化法
 习题
第3章 集成逻辑门
 3.1 晶体管的开关特性
  3.1.1 晶体二极管开关特性
  3.1.2 晶体三极管开关特性
 3.2 TTL集成逻辑门
  3.2.1 晶体管一晶体管逻辑门电路(TTL)
  3.2.2 TTL与非门的主要外部特性
  3.2.3 TTL或非门、异或门、OC门、三态输出门等
  3.2.4 其他系列TTL门电路
 3.3 发射极耦合逻辑(ECL)门与集成注入逻辑(I2L)电路
  3.3.1 发射极耦合逻辑(ECL)门
  3.3.2 I2L逻辑门
 3.4 MOS逻辑门
  3.4.1 MOS晶体管
  3.4.2 MOS反相器和门电路
 3.5 CMOS电路
  3.5.1 CMOS反相器工作原理
  3.5.2 CMOS反相器的主要特性
  3.5.3 CMOS传输门
  3.5.4 CMOS逻辑门电路
  3.5.5 BiCMOS门电路
  3.5.6 CMOS电路的正确使用方法
 3.6 VHDL描述逻辑门电路
  3.6.1 VHDL描述电路的基本方法
  3.6.2 VHDL描述逻辑门电路
 习题
第4章 组合逻辑电路
 4.1 组合逻辑电路分析
  4.1.1 全加器
  4.1.2 编码器
  4.1.3 译码器
  4.1.4 数值比较器
  4.1.5 数据选择器
  4.1.6 奇偶产生/校验电路
 4.2 组合逻辑电路设计
  4.2.1 采用小规模集成器件的组合逻辑电路设计
  4.2.2 采用中规模集成器件实现组合逻辑函数
 4.3 组合逻辑电路的冒险现象
  4.3.1 静态逻辑冒险
  4.3.2 如何判断是否存在逻辑冒险
  4.3.3 如何避免逻辑冒险
 4.4 VHDL描述组合逻辑电路
  4.4.1 VHDL表达式、运算符和数据类型
  4.4.2 在结构体行为描述中常用语句
  4.4.3 结构描述语句
  4.4.4 VHDL语句描述组合逻辑电路
 习题
第5章 集成触发器
 5.1 基本触发器
  5.1.1 基本触发器电路组成和工作原理
  5.1.2 基本触发器功能的描述
 5.2 钟控触发器
  5.2.1 钟控R—S触发器
  5.2.2 钟控D触发器
  5.2.3 钟控J-K触发器
  5.2.4 钟控T触发器
  5.2.5 电位触发方式的工作特性
 5.3 主从触发器
  5.3.1 主从触发器基本原理
  5.3.2 主从J-K触发器主触发器的一次翻转现象
  5.3.3 主从J-K触发器集成单
  5.3.4 集成主从J-K触发器的脉冲工作特性
 5.4 边沿触发器
  5.4.1 维持一阻塞触发器
  5.4.2 下降沿触发的边沿触发器
  5.4.3 CMOS传输门构成的边沿触发器
 5.5 VHDL描述触发器
  5.5.1 时钟信号和复位、置位信号的VHDL描述
  5.5.2 触发器的VHDL描述
 习题
第6章 时序逻辑电路
 6.1 时序逻辑电路概述
 6.2 时序逻辑电路分析
  6.2.1 时序逻辑电路的分析步骤
  6.2.2 寄存器、移位寄存器
  6.2.3 同步计数器
  6.2.4 异步计数器
 6.3 时序逻辑电路设计
  6.3.1 同步时序逻辑电路设计的一般步骤
  6.3.2 采用小规模集成器件设计同步计数器
  6.3.3 采用小规模集成器件设计异步计数器
  6.3.4 采用中规模集成器件实现任意模值计数(分频)器
 6.4 序列信号发生器
  6.4.1 设计给定序列信号的产生电路
  6.4.2 根据序列循环长度M的要求设计发生器电路
 6.5 时序逻辑电路的VHDL描述
  6.5.1 移位寄存器的VHDL描述
  6.5.2 计数器的VHDL描述
 习题
第7章 半导体存储器
 7.1 概述
  7.1.1 半导体存储器的特点与应用
  7.1.2 半导体存储器的分类
  7.1.3 半导体存储器的主要技术指标
 7.2 顺序存取存储器(SAM)
  7.2.1 动态CMOS反相器
  7.2.2 动态CMOS移存单
  7.2.3 动态移存器和顺序存取存储器(SAM)
 7.3 随机存取存储器(RAM)
  7.3.1 RAM的结构
  7.3.2 RAM存储单
  7.3.3 RAM集成片HM6264简介
  7.3.4 RAM存储容量的扩展
 7.4 只读存储器(ROM)
  7.4.1 固定ROM
  7.4.2 可编程ROM
  7.4.3 利用ROM实现组合逻辑函数
  7.4.4 EPROM集成片简介
 习题
第8章 可编程逻辑器件
 8.1 可编程逻辑器件基本结构
  8.1.1 “与一或”阵列结构
  8.1.2 查找表结构
  8.1.3 可编程逻辑器件编程技术
 8.2 简单可编程逻辑器件(SPLD)
  8.2.1 PAL器件的基本结构
  8.2.2 GAL器件的基本结构
  8.2.3 典型GAL器件
 8.3 复杂可编程逻辑器件(CPLD)
  8.3.1 概述
  8.3.2 可编程互连阵列结构CPLD
  8.3.3 全局互连结构CPLD
 8.4 现场可编程门阵列(FPGA)器件
  8.4.1 概述
  8.4.2 连续互连型FPGA器件
  8.4.3 分段互连型FPGA器件
  8.4.4 FPGA器件特点
 8.5 可编程逻辑器件的开发
  8.5.1 PLD设计流程
  8.5.2 PLD编程与配置
 习题
第9章 脉冲单电路
 9.1 脉冲信号与电路
  9.1.1 脉冲信号
  9.1.2 脉冲电路
 9.2 集成门构成的脉冲单电路
  9.2.1 施密特触发器
  9.2.2 单稳态触发器
  9.2.3 多谐振荡器
 9.3 555定时器及其应用
  9.3.1 555定时器的电路结构
  9.3.2 用555定时器构成施密特触发器
  9.3.3 用555定时器构成单稳态触发器
  9.3.4 用555定时器构成多谐振荡器
 习题
第10章 模数转换器和数模转换器
 10.1 概述
  10.1.1 数字控制系统
  1O.1.2 数据传输系统
  10.1.3 自动测试和测量设备
  10.1.4 多媒体计算机系统
 10.2 数模转换器(DAC)
  10.2.1 数模转换原理和一般组成
  10.2.2 权电阻网络DAC
  10.2.3 R-2R倒T形电阻网络DAC
  10.2.4 单值电流型网络DAC
  10.2.5 集成DAC及其应用举例
  10.2.6 DAC的转换精度与转换速度
 10.3 模数转换器(ADC)
  10.3.1 模数转换基本原理
  10.3.2 并联比较型ADC
  10.3.3 逐次逼近型ADC
  10.3.4 双积分型ADC
 10.4 集成ADC及其应用举例
  10.4.1 双积分型集成ADC
  10.4.2 逐次逼近型集成ADC
  10.4.3 ADC的转换精度和转换速度
 习题
第11章 数字系统设计基础
 11.1 数字系统设计的基本方法
  11.1.1 数字系统的组成
  11.1.2 数字系统设计方法
 11.2 系统控制器的描述
  11.2.1 ASM图描述方法
  11.2.2控制器设计——硬件实现
  11.2.3控制器设计——软件设计(VHDL描述)
 11.3 数字系统设计举例
  11.3.1 方案构思
  11.3.2 顶层的VHDL实现
  11.3.3 次级模块电路分析与设计
  11.3.4 控制器电路的设计
 习题
附录一 半导体集成电路型号命名方法
附录二 集成电路主要性能参数
附录三 二进制逻辑单图形符号说明
主要参考文献
汉英名词术语对照


☆ 买 ☆ 家 ☆ 必 ☆ 读 ☆
欢迎您光临本店,购买前请认真阅读以下内容:
1、本图书为正版旧书,即使是全新图书本店也一律按照旧书出售。购买前请认真核对图书名称,图片,作者,出版社和ISBN。
2、图书新旧程度均在七成新以上,不缺页,不影响正常阅读。个别例外情况我们将通过邮件等聊天工具告知。因为均为二手图书,所以不一定配有光盘、磁带、学习卡等,如需要请咨询店主是否附带。
3、拍下后请尽快付款,超过12小时未付款本店将在不通知的情况下直接关闭交易。
4、本店出售中的图书均有货,如果库存充足请直接拍下,如果需要多本,请和店主,以免库存数量不够给您带来不便。
5、如果您收到的商品和实际的新旧程度描述不符,请店主协商,我们一定会为您满意解决,请勿直接给予中评或差评,谢谢。
6、为确保双方利益,平邮一律发挂号印刷品,可跟踪查询邮件,经济实惠,视距离远近,7-25天左右到货。所有商品保证24小时内发货。
热门设计服务